| Contact Us   Global


Semiconductor manufacturers rely on a variety of metrological tools to produce images that help them control processes and identify device failures. However, now that many integrated circuits include three-dimensional interconnects or have layers that are only a few atoms wide, traditional measurement tools may not be able to provide accurate measurements. When manufacturers can't identify the root cause of a device failure, they risk:

  • - Shipping delays and missed delivery deadlines.
  • - Disruptive changes to production schedules.
  • - Overages or shortages of critical components.
  • - Product recalls and, worst case scenario, litigation. 

Fortunately, today's Scanning Probe Microscopes (SPMs) can visualize an IC's physical properties and measure in nanometer resolution. SPMs are now widely used to test doping, electrical conductivity, surface potential, temperature, current flow and microscopic oxide characterization. 

The XY and Z of the AFM

To scan the surface of a sample, an AFM uses a micro-machined cantilever with a sharp tip. The cantilever is typically made of silicon or silicon nitride with a tip radius curvature of several nanometers. When the tip is brought into proximity of a sample surface, forces between the tip and the sample lead to a deflection of the cantilever. The deflection of the cantilever is measured by casting a laser beam onto the cantilever's back side and then detecting the reflected beam with a position-sensitive photo detector (PSPD). 

To maintain a constant force between the tip and the sample, a feedback mechanism adjusts the tip-to-sample distance. 

Traditionally, a feedback loop consists of a piezoelectric tube that can move the sample in the Z direction to maintain a constant force, and the XY directions for scanning the sample. While the tube scanner is a versatile, low-cost tool for acquiring images, it's not the best tool for obtaining accurate parameters of surface features, and is subject to cross-talk.

To overcome these limitations, Park Systems, Santa Clara, CA, developed a "Cross-Talk Elimination" (XE) Series of AFMs, featuring decoupled XY and Z scanners. The scanners minimize background curvature to two nanometers over 50µ in XY — equivalent to about two inches over one mile. Essentially, there's zero intrinsic bowing, even on the flattest sample, so there's no danger of eliminating any subtle information about the sample's surface features.

An Atomic Force Microscope's extremely high resolution, especially in the vertical direction, enables detection of even the slightest surface variations. Plus, there's no misinterpretation about which features are higher or lower, as AFM images are unambiguous about the topography. From the accurate, three-dimensional calibrated data provided by the AFM, users can evaluate statistics and produce quantitative reports on roughness, step heights, grain sizes, slope angles, depths etc. There are a number of popular applications of the AFM:

  • - Imaging for process control of Chemical Mechanical Polishing (CMP), the technique used to planarize the semiconductor's surface at various process steps.
  • - Measuring the depth of the groove around the edge of an EEPROM transistor to gain insight into possible reliability problems due to oxide thinning. The AFM enables
  • - users to investigate the complete edge of the gate oxide, instead of just a cross-section along a single line.
  • - Identifying doping. Doping, a key component of insulating and conducting semiconductor materials, is difficult to make visible without SPM methods such as Scanning Capacitance Microscopy (SCM) or Scanning Spreading Resistance Microscopy (SSRM). AFMs provide top- or cross-section images of two-dimensional doping distributions near the sample's surface, thus resolving doping levels between 1015cm-3 and 1019cm-3.
  • - Nanoscopic probe stations for devices that must be tested electrically. For example, to test the electrical insulating property of a dielectric, a voltage is applied across the bare oxide using a conductive probe tip as the top contact and the sample substrate as the back contact. Called conductive-AFM, this method can also record parameters from I-V curves. The AFM produces a tunneling current map that can be converted into a thickness map with a lateral resolution of about 10 nanometers. Armed with two-dimensional mapping results and electrical oxide thickness measurements, test professionals can make better decisions about the operation of an MOS transistor.
  • - A Raman (short light, This product is no longer available) AFM System can be used to study the materials in an IC. Raman spectroscopy is a powerful, nondestructive analysis and research tool. Basically, it's a light-scattering technique where a photon of light interacts with a sample to produce scattered radiation of different wavelengths. The molecule fingerprints it produces are especially useful for chemical identification, characterization of molecular structures, and examining the effects of bonding and stress on a sample.
  • - AFMs are routinely used in tribology — the study of friction, lubrication, and wear. In the automobile and aerospace industries, SPMs have helped increase the lifespan of mechanical components by measuring frictional forces and adhesion of nanometer-thick lubricants and surfactants.
  • - In the hard disk drive industry, AFMs are used to characterize surface morphology, texture, and roughness. While a Scanning Electron Microscope (SEM) can also be used to produce magnified views of wear tracks in the XY axis, it can't provide 3D visualizations or the cross sectioning needed to measure the depth of the tracks.

A major advantage of the AFM is that it can be used on all types of materials, including ceramics, metals, polymers, semiconductors, magnetic, optical, and biomaterials. It can be used to study materials in ambient air, in liquid, or in a vacuum. But, unlike an SEM, an AFM doesn't need to be installed in a vacuum environment — a significant savings for manufacturers. 

Some manufactures even use AFMs for routine tasks such as measuring the laser dots used for scribing numbers on a wafer, or determining the maximum depth of contact pad imprints caused by probe needle touch-down. 

Regardless of whether the task is repetitive or bleeding edge, AFMs have demonstrated their metrological advantages. This is especially true for conducting IC failure analysis. As features to be measured become smaller, the higher resolutions provided by an AFM makes it easier for manufacturers to keep pace with Moore's Law — a guiding principle in the growth of the semiconductor industry. 

 

Link http://us-tech.com/RelId/611092/ISvars/default/Atomic_Force_Microscopes_for_A.htm